最新目錄光碟
112學年國中高中影音教學
112下學期國小.國中.高中
112上學期國小.國中.高中
111下學期國小.國中.高中
111上學期國小.國中.高中
2023年知名線上課程教學
110年TKB數位學堂影音
110年金榜函授影音教學
110年讀享國考小學堂
109年超級函授影音教學
109年高點.高上影音教學
2024年1月1號軟體新片
國小.國中.高中教學
國小命題.考卷類.教學
國中命題.考卷類.教學
高中命題.考卷類.教學
翰林雲端學院影音教學
三貝德升學王影音教學
三貝德小學王影音教學
萬試通學習王影音教學
AI學霸網影音教學
行動補習網影音教學
名師學院影音教學
艾爾雲校.一點通.楊明山
國小補習班影音教學
國中補習班影音教學
高中補習班影音教學
四技統測.警專.護理師
線上課程綜合教學
YOTTA線上課程綜合教學
HAHOW線上課程綜合教學
PPA線上課程綜合教學
UD線上課程綜合教學
天下線上課程綜合教學
知名線上課程綜合教學
臺灣全民學習平台
公職國考.研究所(單科)
所有科目題庫班相關考試
所有科目總複習相關考試
公職國考共同科目
行政.司法相關考試
律師司法官.司法相關
財經.會計.統計.管理
電子.電機.資訊相關考試
土木.結構.機械相關考試
測量.水利.環工.工業考試
社會.文化.地政.不動產
物理.化學.後醫.插大
護理.營養.衛生.醫類
教育.觀光.心理.語言
警察,消防,法類相關考試
鐵路.郵政.運輸.農業考試
未分類相關考試
106年前所有單科考試
公職國考就業(套裝)
公務人員高普考相關考試
關務.移民.外交.民航
國安局.調查局.司法.警察
公務人員鐵路特考
技師執照類相關考試
教職.轉學考.後醫.研究所
國營事業相關考試
106年前所有套裝考試
程式軟體光碟
程式合輯、軟體合輯
影片、多媒體相關軟體
音樂、聲音相關軟體工具
防毒防駭安全軟體
網頁製作資料庫管理
燒錄光碟製作軟體
字型輸入法軟體
微軟系列程式軟體
麥金塔專用軟體
系統優化.清理.修復工具
掃描.PDF.辦公文書工具
程式開發.數據.資料庫
FTP傳輸.下載.遠端工具
廣告信.電話.郵件工具
報表.會計.統計.數學軟體
硬碟.備份救援.還原工具
未分類、綜合程式軟體
專業繪圖軟體
繪圖、圖像、靜態影像
工程繪圖.計算.分析軟體
電子.電機.電信應用軟體
3D動畫、模型、模具軟體
Autodesk系列程式軟體
ADOBE奧多比工具軟體
Corel及訊連系列軟體
PTC參數科技繪圖軟體
影像圖庫視頻素材
專業知識教學
外語檢定、外語綜合教學
專業幼兒綜合教學
迪士尼幼教系列
巧連智幼教系列
運動.減肥.瑜珈.舞蹈
命理.股票.講說DVD
電腦認證與應用學習
遊戲、影片、音樂
PC電腦遊戲系列
MP3音樂及音樂光碟
電影院縣片系列
亞洲連續劇DVD
歐美連續劇藍光與DVD
卡通動畫與經典影集DVD
演唱會.MTV.卡拉OK
您現在的位置:網站首頁 專業繪圖軟體 工程繪圖.計算.分析軟體 光碟詳情
商品編號: CAX0703
商品名稱: Aldec Active-HDL 12.0.118 FPGA設計模擬軟體
商品分類: 工程繪圖.計算.分析軟體
語系版本: 英文版
運行平台: Windows 10 64位元
更新日期: 2021-04-05
光碟片數: 1片DVD光碟
銷售價格: $200元
熱門標籤: FPGA設計模擬軟體  HDL  Active  Aldec 

Aldec Active-HDL 12.0.118 FPGA設計模擬軟體 英文版(DVD版)


內容說明:

Active-HDL是由Aldec公司推出的一款圍繞共同核心的HDL模擬器所構建的FPGA開發環境。
支援基於文本和圖形設計輸入和調試工具,允許混合語言模擬(VHDL/ Verilog/ EDIF/ SystemC/ SystemVerilog),並提供統一的介面以及各種合成和實施工具。
我們通過對選擇器,加法器,三八解碼器,優先編碼器,計數器,分頻器上述六種設計錄入和調試得到輸出波形圖。
提供了許多新功能和增強功能,可簡化基於團隊的設計,提高設計效率,以及VHDL,VerilogR,SystemC?,SystemVerilog和EDIF項目的行為,RTL和時序模擬速度。
新版本採用獨立于FPGA供應商的版本,支持所有領先的C / HDL綜合和實現工具,可直接從Active-HDL環境啟動。
安裝程式自動安裝所有系統庫,並允許選擇運行HDL模擬所需的目標FPGA技術和供應商特定庫。

Aldec公司所提供的高階FPGA及ASIC設計和驗證環境—Active-HDL,能夠協助工程人員進行電信、軍事,或者消費性電子等應用的硬體實現。
Active-HDL能夠和業界標準相容,如IEEE、ISO、IEC及其它標準等,它為您的設計提供了極廣的覆蓋率及支援。
其它強大的功能和工具,如程式碼覆蓋率分析(Code Coverage Analysis),圖表編輯器,和狀態圖表編輯器,都能協助您以非平行的方式檢視您的設計項目。
Active-HDL具備除錯工具,能支援Soft或Hard IP Core元件;其它的特色如圖形化使用介面、程式語法、或混合模式開發都能加快您的設計速度。
影片介紹:


圖片說明:






站內搜索

站內搜索
請輸入軟體名稱或編號
購物車
熱門關鍵字
Altair Siemens
Bentley CONNECT
有限元分析 建築設計
Simcenter ANSYS
Dlubal Inspire
設計 Designer
Dassault Geomagic
Graphics Tecplot
ZW3D 中望
岩石 室內設計
馬達設計 報告
模型分析 Ashampoo
CAD CAMWorks
CSI ESI
FloTHERM Mentor
midas ModelSim
OpenFlows PLAXIS
SIMULIA Solid
Tekla Trimble
3D設計 4MCAD